thumbnail

Đề Thi Ôn Luyện Môn Điện Tử Số 2 EPU Đại Học Điện Lực - Miễn Phí Có Đáp Án

Khám phá bộ câu hỏi ôn thi môn Điện tử số 2 dành cho sinh viên Đại học Điện lực với đầy đủ đáp án. Các câu hỏi được tổng hợp từ các kỳ thi trước, giúp bạn ôn luyện hiệu quả và chuẩn bị tốt cho kỳ thi sắp tới. Tài liệu này cung cấp kiến thức vững chắc về điện tử số, hỗ trợ quá trình học tập và nâng cao kỹ năng chuyên môn cho sinh viên.

Từ khoá: điện tử số 2 ôn thi Đại học Điện lực EPU thi điện tử số câu hỏi ôn thi miễn phí tài liệu điện tử số đáp án điện tử số ôn thi môn Điện tử Số 2 EPU thi Đại học Điện Lực

Thời gian làm bài: 1 giờ


Chọn mã đề:


Bạn chưa làm Mã đề 1!!!

Hãy bắt đầu chinh phục nào!



 

Xem trước nội dung:

Câu 1: 0.25 điểm
Bước thiết kế Functional Design là
A.  
Xác định kiến trúc của hệ thống
B.  
Xác định các khối chức năng chính và kết nối giữa các khối
C.  
Thiết kế logic
D.  
Xác định kích thước, tốc độ, công suất và các chức năng của hệ thống
Câu 2: 0.25 điểm

cổng logic dưới hình là cổng:

A.  

NAND

B.  

NOT

C.  

AND

D.  

XOR

Câu 3: 0.25 điểm

Bảng sự thật dưới đây là của mạch logic nào

Đầu vào AĐầu vào BĐầu ra Q
001
011
101
110
A.  

AND

B.  

NAND

C.  

NOT

D.  

XOR

Câu 4: 0.25 điểm

:cách khai báo nào dưới đây đúng với cổng OR

Hình ảnh

A.  

ENTITY or_gate IS

PORT ( a,b: IN BIT;

q: OUT BIT);

END or_gate;

B.  

ENTITY or_gate IS

PORT ( a,b: IN BIT;

q: IN BIT);

END or_gate;

C.  

ENTITY or_gate IS

PORT ( a,b: IN BIT,

q: OUT BIT);

END or_gate;

D.  

ENTITY or_gate IS

PORT ( a,b: IN BIT;

q: OUT BIT);

END or_gate

Câu 5: 0.25 điểm
Câu lệnh nào sau đây là đúng khi kiểm tra sự xuất hiện sườn dương của xung clk
A.  
IF NOT (clk’EVENT AND clk=’1’)…
B.  
(clk’EVENT AND clk=’1’)…
C.  
WAIT UNTIL(clk’EVENT AND clk=’1’) ;
D.  
WAIT UNTIL(clk’STABLE AND clk=’1’) ;
Câu 6: 0.25 điểm

[(<00436_C3>)] Điện tử số 2, , Chương 3 Bài tập

Câu 1 :Khai báo ENTITY nào là phù hợp cho mạch như hình vẽ

Hình ảnh


A.  

ENTITY ckt_fig IS PORT(A, B: IN BIT;

Y, X1, X2: OUT BIT);

END ckt_fig;

B.  

ENTITY ckt_fig IS PORT(A, B: IN BIT;

Y: OUT BIT;);

END ckt_fig;

C.  

ENTITY ckt_fig IS PORT(A, B: IN BIT;

Y: OUT BIT);

END ckt_fig

D.  

ENTITY ckt_fig IS PORT(A, B: IN BIT;

Y: OUT BIT);

END ckt_fig;

Câu 7: 0.25 điểm
Trong cách truyền giá trị động bằng VARIABLE, thành phần VARIABLE được gán bằng toán tử:
A.  
<=
B.  
:=
C.  
==
D.  
=
Câu 8: 0.25 điểm
Công nghệ logic số nào sau đây không thuộc trong công nghệ PLD
A.  
CPLD
B.  
TTL
C.  
PLA
D.  
FPGA
Câu 9: 0.25 điểm
Ưu điểm của việc thiết kế mạch sử dụng IC có chức năng cố định
A.  
Kích thước bảng mạch lớn
B.  
Yêu cầu về điện lớn
C.  
Thực hiện thiết kế đơn giản
D.  
Khó chế tạo được những mạch phức tạp
Câu 10: 0.25 điểm
Trong VHDL kiểu STD_LOGIC gồm mấy giá trị
A.  
4
B.  
3
C.  
2
D.  
8
Câu 11: 0.25 điểm
Các lệnh tuần tự được sử dụng để xây dựng
A.  
Mạch dãy
B.  
Mạch tuần tự
C.  
Mạch hồi tiếp
D.  
Cả 3 loại trên
Câu 12: 0.25 điểm

Bảng sự thật dưới đây là của mạch logic nào

Đầu vào AĐầu ra Q
01
10
A.  

AND

B.  

OR

C.  

NOT

D.  

XOR

Câu 13: 0.25 điểm
Đâu không phải là loại toán tử mà VHDL cung cấp:
A.  
Toán tử gán
B.  
Toán tử logic
C.  
Toán tử số học
D.  
Toán tử quan hệ
Câu 14: 0.25 điểm
Ưu điểm của việc thiết kế mạch sử dụng IC có chức năng cố định
A.  
Kích thước bảng mạch lớn
B.  
Yêu cầu về điện lớn
C.  
Thay đổi nhanh bản thiết kế
D.  
Khó chế tạo được những mạch phức tạp
Câu 15: 0.25 điểm

:Cách viết nào sau đây là đúng khi dùng WITH/SELECT/WHEN, WITH sel SELECT

A.  

y <= a WHEN"00",

b WHEN"01",

c WHEN"10",

d WHEN OTHERS ;

B.  

y <= a WHEN"00",

b WHEN"01",

c WHEN"10",

d WHEN OTHER ;

C.  

y => a WHEN"00",

b WHEN"01",

c WHEN"10",

d WHEN OTHER ;

D.  

y <= a WHEN"00",

b WHEN"01",

c WHEN"10",

d WHEN"11";

Câu 16: 0.25 điểm
Trong VHDL ‘Z’ thuộc kiêu
A.  
Số tự nhiêu
B.  
số nguyên 32 bit
C.  
Kiểu STD_LOGIC
D.  
Kiểu BIT
Câu 17: 0.25 điểm
Thuộc tính dữ liệu d’RANGE sẽ trả về
A.  
Giá trị nhỏ nhất của chỉ số mảng
B.  
Chỉ số lớn nhất của chỉ số mảng
C.  
Khoảng chỉ số của vector
D.  
Khoảng chỉ số của vector theo thứ tự đảo ngược
Câu 18: 0.25 điểm

:. Cấu lệnh nào trong phần ENTITY là đúng

Chức năngĐiều kiện
Y=aSel=00
Y=bSel=01
Y=cSel=10
Y=dSel=11
Hình ảnh


A.  

a : in std_logic_vector(2 downto 0);

B.  

a: out std_logic_vector;

C.  

a : in std_logic;

D.  

a : in std_logic_vector;

Câu 19: 0.25 điểm

[(<00436_C4>)] Điện tử số 2, , Chương 4: Thiết kế mạch số trên fpga

Câu 136 Trình tự các bước thiết kế VLSI

A.  

System Specification ->Architectural Design ->Functional Design ->Logic Design-> Circuit Design ->Physical Design ->Fabrication ->Packaging

B.  

Functional Design ->Logic Design -> System Specification ->Architectural Design-> Circuit Design ->Physical Design ->Fabrication ->Packaging

C.  

System Specification -> Logic Design -> Circuit Design -> Architectural Design ->Functional Design ->Physical Design ->Fabrication ->Packaging

D.  

System Specification ->Architectural Design->Physical Design ->Fabrication ->Packaging -> Functional Design ->Logic Design -> Circuit Design

Câu 20: 0.25 điểm

cho khai báo như sau

signal x: Bit;

signal y: STD_LOGIC:

Phép gán nào sai

A.  

x:=’1’;

B.  

x<=’0’

C.  

y<=’1’;

D.  

y<=’H’;

Câu 21: 0.25 điểm
Trong VHDL kiểu NATURAL là kiểu
A.  
Số tự nhiêu
B.  
số nguyên 32 bit
C.  
số thực
D.  
số Z
Câu 22: 0.25 điểm

. Câu lệnh nào trong đoạn architecture sai

Chức năngĐiều kiện
Y=aSel=00
Y=bSel=01
Y=cSel=10
Y=dSel=11
Hình ảnh


architecture rtl of mux4 is begin

Y <= a when (sel = "00") else

b when (sel = ‘1’) else

c when (sel = "10") else

d;

end rtl;

A.  

Y <= a when (sel = "00") else

B.  

b when (sel = ‘1’) else

C.  

c when (sel = "10") else

D.  

d;

Câu 23: 0.25 điểm

:Trong hình trên Clock là

Hình ảnh
A.  

Xung khởi tạo

B.  

Xung đếm

C.  

Xung kết thúc

D.  

Xung điều kiện

Câu 24: 0.25 điểm

:Trong vd sau, q<=d thực hiện được khi nào

Hình ảnh


A.  

clk=’0’

B.  

q=1

C.  

d=0

D.  

clk=’1’

Câu 25: 0.25 điểm

Nếu rst = 1 thì q có giá trị là

Trigger D phát xung dương có tín hiệu Reset tích cực mức cao

A.  

3

B.  

2

C.  

1

D.  

0

Câu 26: 0.25 điểm

:Mệnh đề WHEN value 1 | value 2 có nghĩa

A.  

điều kiện trong phạm vi từ 0 đến value1 và 0 đến value2

B.  

điều kiện của mệnh đề là value1 hoặc value2

C.  

điều kiện của mệnh đề là value1 và value2

D.  

điều kiện trong phạm vi từ value1 đếnvalue2

Câu 27: 0.25 điểm

: Cách viết nào dưới đây đúng với cổng OR

Hình ảnh
A.  

ARCHITECTURE myarch OF or_gate

IS BEGIN

q<= a OR b;

END myarch;

B.  

ARCHITECTURE myarch OF or_gate

IS BEGIN

q<= a AND b;

END myarch;

C.  

ARCHITECTURE myarch OF or_gate

BEGIN

q<= a OR b;

END myarch

D.  

ARCHITECTURE myarch OF or_gate

IS BEGIN

q<= a OR b;

Câu 28: 0.25 điểm

Đoạn chương trình sau sử dụng với lệnh nào

Hình ảnh


A.  

ELSIF

B.  

THEN

C.  

IF

D.  

OTHERS

Câu 29: 0.25 điểm
Kiểu Booleam là kiểu trả về
A.  
0 hoặc 1
B.  
High hoặc Low
C.  
True hoặc false
D.  
Đúng hoặc sai
Câu 30: 0.25 điểm
Có bao nhiêu loại toán tử so sánh:
A.  
6
B.  
5
C.  
4
D.  
3
Câu 31: 0.25 điểm

:cách khai báo nào dưới đây đúng với cổng XNOR

Hình ảnh

A.  

ENTITY xnor_gate IS

PORT ( a,b: IN BIT;

q: IN BIT);

END xnor_gate;

B.  

ENTITY xnor_gate IS

PORT ( a,b: IN BIT,

q: OUT BIT);

END xnor_gate;

C.  

ENTITY xnor_gate IS

PORT ( a,b: IN BIT;

q: OUT BIT);

END xnor _gate;

D.  

ENTITY xnor_gate IS

PORT ( a,b: IN BIT;

q: OUT BIT);

END or_gate

Câu 32: 0.25 điểm

:Cách viết nào sau đây là đúng khi dùng WITH/SELECT/WHEN, WITH sel SELECT

A.  

y <= a WHEN0,

b WHEN1,

c WHEN2,

dWHEN3;

B.  

y <= a WHEN0,

b WHEN1,

c WHEN2,

d WHEN 3,

C.  

y <= a WHEN0

b WHEN1

c WHEN2

d WHEN 3;

D.  

tất cả đều đúng

Câu 33: 0.25 điểm

Đâu là internal signal

Hình ảnh

A.  

a,c,y

B.  

a,b,c

C.  

x,y

D.  

a

Câu 34: 0.25 điểm

Bảng sự thật dưới đây là của mạch logic nào

Input AInput BInput C
000
010
100
111
A.  

AND

B.  

OR

C.  

NOT

D.  

XOR

Câu 35: 0.25 điểm

Điện tử số 2, , Chương 4: Thiết kế mạch số trên fpga

Câu 1

Ưu điểm của việc thiết kế mạch sử dụng IC có chức năng cố định

A.  

Thực hiện thiết kế đơn giản

B.  

Kích thước bảng mạch lớn

C.  

Dễ sao chép thiết kế

D.  

Khó chế tạo được những mạch ứng dụng phức tạp

Câu 36: 0.25 điểm

Khi tín hiệu reset tích cực, các đầu ra của 4 trigger sẽ

A.  

Tăng lên 1 mức

B.  

Bị xóa về 0

C.  

Giảm đi 1 mức

D.  

Tín hiệu reset không ảnh hưởng đến 4 trigger

Câu 37: 0.25 điểm
Trong VHDL, “hằng - constant” dùng để
A.  
Chứa các giá trị cụ thể
B.  
Chứa các kết quả trung gian
C.  
Chứa các cổng logic
D.  
Biểu diễn đường kết nối trong hệ thống
Câu 38: 0.25 điểm

cho khai báo như sau:

signal x: Bit;

signal y: STD_LOGIC:

Phép gán nào đúng

A.  

x<=y;

B.  

y<=x;

C.  

x<=’H’;

D.  

y<=’H’;

Câu 39: 0.25 điểm
Trong toán tử số học, lệnh " REM " là phép toán gì:
A.  
Phép chia lấy phần nguyên
B.  
Phép chia lấy phần dư
C.  
Phép lấy giá trị tuyệt đối
D.  
Phép chia
Câu 40: 0.25 điểm

Hình này là kết quả của thiết kế nào trong thiết kế VLSI

Hình ảnh


A.  

System Specification

B.  

Architectural Design

C.  

Functional Design

D.  

Logic Design


Xem thêm đề thi tương tự

thumbnail
Đề Thi Ôn Luyện Môn Bảng Tính Điện Tử Excel HUBT Đại Học Kinh Doanh và Công Nghệ Hà Nội - Miễn Phí Có Đáp ÁnĐại học - Cao đẳng
Khám phá bộ câu hỏi ôn thi môn Bảng tính điện tử Excel dành cho sinh viên Đại học Kinh doanh và Công nghệ Hà Nội với đầy đủ đáp án. Các câu hỏi được tổng hợp từ các kỳ thi trước, giúp bạn ôn luyện hiệu quả và chuẩn bị tốt cho kỳ thi sắp tới. Tài liệu này cung cấp kiến thức vững chắc về Excel, hỗ trợ quá trình học tập và nâng cao kỹ năng chuyên môn cho sinh viên.

109 câu hỏi 3 mã đề 1 giờ

83,026 lượt xem 44,695 lượt làm bài

Chưa chinh phục!!!
thumbnail
Đề Thi Ôn Luyện Môn Tự Động Hóa Trong Hệ Thống Điện OK EPU Đại Học Điện Lực - Miễn Phí Có Đáp ÁnĐại học - Cao đẳng
Khám phá bộ câu hỏi ôn thi môn Tự động hóa trong hệ thống điện dành cho sinh viên Đại học Điện lực với đầy đủ đáp án. Các câu hỏi được tổng hợp từ các kỳ thi trước, giúp bạn ôn luyện hiệu quả và chuẩn bị tốt cho kỳ thi sắp tới. Tài liệu này cung cấp kiến thức vững chắc về tự động hóa và hệ thống điện, hỗ trợ quá trình học tập và nâng cao kỹ năng chuyên môn cho sinh viên.

31 câu hỏi 1 mã đề 1 giờ

81,895 lượt xem 44,086 lượt làm bài

Chưa chinh phục!!!
thumbnail
Đề Thi Ôn Luyện Môn An Toàn Điện EPU Đại Học Điện Lực - Miễn Phí Có Đáp ÁnĐại học - Cao đẳng
Khám phá bộ câu hỏi ôn thi môn An toàn điện dành cho sinh viên Đại học Điện lực với đầy đủ đáp án. Các câu hỏi được tổng hợp từ các kỳ thi trước, giúp bạn ôn luyện hiệu quả và chuẩn bị tốt cho kỳ thi sắp tới. Tài liệu này cung cấp kiến thức vững chắc về an toàn điện, hỗ trợ quá trình học tập và nâng cao kỹ năng chuyên môn cho sinh viên.

106 câu hỏi 3 mã đề 1 giờ

68,372 lượt xem 36,806 lượt làm bài

Chưa chinh phục!!!
thumbnail
Đề Thi Ôn Luyện Môn Luật Kinh Tế (2) EPU Đại Học Điện Lực - Miễn Phí Có Đáp ÁnĐại học - Cao đẳng
Khám phá bộ câu hỏi ôn thi môn Luật Kinh tế (2) dành cho sinh viên Đại học Điện lực với đầy đủ đáp án. Các câu hỏi được tổng hợp từ các kỳ thi trước, giúp bạn ôn luyện hiệu quả và chuẩn bị tốt cho kỳ thi sắp tới. Tài liệu này cung cấp kiến thức vững chắc về luật kinh tế, hỗ trợ quá trình học tập và nâng cao kỹ năng chuyên môn cho sinh viên.

30 câu hỏi 1 mã đề 1 giờ

84,507 lượt xem 45,493 lượt làm bài

Chưa chinh phục!!!
thumbnail
Đề Thi Ôn Luyện Môn Nguyên Lý Kế Toán Chương 5+6 EPU Đại Học Điện Lực - Miễn Phí Có Đáp ÁnĐại học - Cao đẳng
Khám phá bộ câu hỏi ôn thi môn Nguyên lý Kế toán Chương 5+6 dành cho sinh viên Đại học Điện lực với đầy đủ đáp án. Các câu hỏi được tổng hợp từ các kỳ thi trước, giúp bạn ôn luyện hiệu quả và chuẩn bị tốt cho kỳ thi sắp tới. Tài liệu này cung cấp kiến thức vững chắc về nguyên lý kế toán, hỗ trợ quá trình học tập và nâng cao kỹ năng chuyên môn cho sinh viên.

170 câu hỏi 7 mã đề 1 giờ

81,961 lượt xem 44,121 lượt làm bài

Chưa chinh phục!!!
thumbnail
Đề Thi Ôn Luyện Môn Nguyên Lý Hệ Điều Hành 2 EPU Đại Học Điện Lực - Miễn Phí Có Đáp ÁnĐại học - Cao đẳng
Khám phá bộ câu hỏi ôn thi môn Nguyên lý Hệ điều hành 2 dành cho sinh viên Đại học Điện lực với đầy đủ đáp án. Các câu hỏi được tổng hợp từ các kỳ thi trước, giúp bạn ôn luyện hiệu quả và chuẩn bị tốt cho kỳ thi sắp tới. Tài liệu này cung cấp kiến thức vững chắc về nguyên lý hệ điều hành, hỗ trợ quá trình học tập và nâng cao kỹ năng chuyên môn cho sinh viên.

24 câu hỏi 1 mã đề 1 giờ

68,807 lượt xem 37,037 lượt làm bài

Chưa chinh phục!!!
thumbnail
Tổng Hợp Đề Thi Ôn Luyện Môn Quy Trình Kinh Doanh Điện | Đại Học Điện Lực (EPU) Miễn Phí Có Đáp ÁnĐại học - Cao đẳng

Tổng hợp đề thi ôn luyện môn Quy Trình Kinh Doanh Điện dành cho sinh viên Đại học Điện lực (EPU) với các câu hỏi đa dạng và đáp án chi tiết. Bộ đề thi miễn phí này giúp sinh viên ôn tập hiệu quả các kiến thức về quản lý và vận hành quy trình kinh doanh điện, từ lý thuyết đến thực tiễn. Tài liệu phù hợp cho những ai đang chuẩn bị cho kỳ thi môn Quy Trình Kinh Doanh Điện, cung cấp cơ hội kiểm tra và cải thiện kiến thức một cách nhanh chóng và dễ dàng.

117 câu hỏi 3 mã đề 1 giờ

71,181 lượt xem 38,290 lượt làm bài

Chưa chinh phục!!!
thumbnail
Đề Ôn Luyện Thi Môn Điện Đại Cương - Miễn Phí, Có Đáp Án Chi TiếtĐại học - Cao đẳng
Điện đại cương là môn học cơ bản, cung cấp kiến thức nền tảng về các nguyên lý điện, từ trường và mạch điện. Đề ôn luyện thi miễn phí, kèm đáp án chi tiết, giúp sinh viên kiểm tra, củng cố kiến thức và chuẩn bị tốt nhất cho kỳ thi. Tài liệu được biên soạn sát với chương trình học, phù hợp cho cả việc học tập và ứng dụng trong các lĩnh vực kỹ thuật điện.

133 câu hỏi 3 mã đề 1 giờ

11,764 lượt xem 6,321 lượt làm bài

Chưa chinh phục!!!
thumbnail
Đề ôn luyện thi môn Công nghệ sản xuất điện - Đại học Điện lực (EPU) miễn phí, có đáp án chi tiếtĐại học - Cao đẳng
Công nghệ sản xuất điện là ngành đào tạo nổi bật tại Đại học Điện lực (EPU), nơi cung cấp kiến thức chuyên sâu về hệ thống điện, năng lượng tái tạo, và kỹ thuật tiên tiến. Sinh viên được học tập trong môi trường hiện đại, kết hợp thực hành và nghiên cứu thực tế, đáp ứng nhu cầu ngành điện lực. Đội ngũ giảng viên giàu kinh nghiệm và chương trình đào tạo chất lượng giúp sinh viên sẵn sàng gia nhập thị trường lao động với kỹ năng vững vàng. Đề ôn luyện thi miễn phí, kèm đáp án chi tiết để hỗ trợ học tập hiệu quả.

123 câu hỏi 5 mã đề 1 giờ

13,517 lượt xem 7,259 lượt làm bài

Chưa chinh phục!!!